CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 数字时钟 VHDL

搜索资源列表

  1. muxplusii --vhdl 经典程序

    0下载:
  2. 用VHDL编写的数字时钟,可变宽度脉冲产生器-prepared using VHDL digital clock, Variable width pulse generator, etc.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:8965
    • 提供者:vhdp
  1. LED.VHDL

    0下载:
  2. LED控制VHDL程序与仿真 分别介绍采用FPGA对LED进行静态和动态显示的数字时钟控制程序-LED control procedures and VHDL simulation briefed on the use of FPGA LED static and dynamic significantly the figures show clock control procedures
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:5583
    • 提供者:少龙
  1. szsz

    0下载:
  2. 数字时钟vhdl实现
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:322453
    • 提供者:黄朝谦
  1. 基于VHDL 的数字时钟

    1下载:
  2. 用VHDL实现时钟的显示,包括七段数码管和lcd1602字符液晶,可以显示十分秒,年月日
  3. 所属分类:VHDL编程

    • 发布日期:2009-11-15
    • 文件大小:345022
    • 提供者:minmindianzi
  1. vgaclock.rar

    2下载:
  2. vga显示的数字时钟,用mif文件实现,用以大家学习交流,vga display digital clock, with the realization of mif file for them to learn from the exchange of
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:50692
    • 提供者:jichun
  1. vhdl

    0下载:
  2. 基于vhdl的数字时钟;24制,带有定时,闹钟等功能。-VHDL-based digital clock 24 system, with time, alarm clock functions.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:71747
    • 提供者:jecky
  1. digitalclockvhdl

    0下载:
  2. EAD设计VHDL语言环境数字时钟数码管显示方案,包括时间设置、调整等。-VHDL language environment EAD design digital digital clock display, including time for setup, adjustment.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:8387
    • 提供者:王丽
  1. watch

    0下载:
  2. 功能更强大的数字时钟,有年份,月,日,时,分,秒和星期,可以调校-More powerful digital clock, there are years, months, days, hours, minutes, seconds and weeks, you can adjust the
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:2968
    • 提供者:kid
  1. Myshizhong

    0下载:
  2. 多功能数字时钟设计方案及电路图,以及必要分析-Multi-functional digital clock and circuit design, as well as the need to analyze the
  3. 所属分类:Project Design

    • 发布日期:2017-04-02
    • 文件大小:4143
    • 提供者:生命之碑
  1. clock

    0下载:
  2. 本文档采用VHDL语言编写了一个数字时钟的程序,该数字时钟采用24小时制计时,可以实现整点报时,时间设置,闹钟等功能。最小分辨率为1秒。-VHDL language in this document using a digital clock to prepare the procedure, the digital clock 24-hour time system, you can bring the whole point of time, time settings, alarm clo
  3. 所属分类:Other systems

    • 发布日期:2017-04-02
    • 文件大小:681184
    • 提供者:cindy
  1. vhdl-clock

    0下载:
  2. 数字时钟的VHDL课程设计 涉及到的几个要点有 分频模块 时分秒模块 扫描模块 显示模块-Digital Clock Design of VHDL course of a few key points related to one of those who every minute frequency module module module module scan
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-01-27
    • 文件大小:106578
    • 提供者:li
  1. eetop.cn_digital_clock

    0下载:
  2. 基于VHDL的数字时钟设计课件,简单,实用-VHDL-based Digital Clock Design Courseware
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:270951
    • 提供者:孤独剑
  1. eda

    0下载:
  2. eda实验时钟电路系统由秒时钟产生电路、走时电路模块、数字显示模块、校时模块、语音报时模块、工业控制模块-eda test clock circuit generated by the second clock circuit, the circuit blocks away, the digital display module, the campus module, voice timekeeping module, industrial control modules
  3. 所属分类:Other systems

    • 发布日期:2017-05-11
    • 文件大小:2640339
    • 提供者:樱花烬
  1. Digitalclock_vhdl

    0下载:
  2. VHDL语言编写的数字时钟代码,环境quartus-Digital clock written in VHDL code, the environment quartusII
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:643243
    • 提供者:malikun
  1. shuzishizhong

    0下载:
  2. 本实验实现一个能显示小时,分钟,秒的数字时钟。数字时钟-The experimental realization of a can display hours, minutes, seconds, the digital clock. Digital Clock
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:315674
    • 提供者:
  1. clock

    1下载:
  2. 基于VHDL硬件描述语言设计的多功能数字时钟的思路和技巧-VHDL hardware descr iption language based on multi-functional digital clock design ideas and techniques
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1128563
    • 提供者:lsc
  1. 数字钟

    0下载:
  2. fpga课程中用vhdl语言编写的数字钟 输出到板子上是,就是一个数字时钟
  3. 所属分类:VHDL编程

    • 发布日期:2013-04-22
    • 文件大小:10345585
    • 提供者:lynnyu@yeah.net
  1. clock

    0下载:
  2. 数字时钟,用VHDL语言设计,能调时间,整点响铃(Digital clock, designed in VHDL language, can adjust the time, the whole bell ring)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-09
    • 文件大小:137216
    • 提供者:liujhliujp81
  1. 数字时钟

    1下载:
  2. 基于VHDL语言编写的数字时钟程序,经验证,可以用硬件实现(Based on VHDL language digital clock program, verified, you can use hardware to achieve.)
  3. 所属分类:其他

  1. LED控制VHDL程序与仿真

    0下载:
  2. LED控制VHDL程序与仿真 分别介绍采用FPGA对LED进行静态和动态显示的数字时钟控制程序。
  3. 所属分类:VHDL编程

« 12 3 4 5 6 7 8 »
搜珍网 www.dssz.com